CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - EEPROM I2C

搜索资源列表

  1. I2C2402

    0下载:
  2. 用于EEPROM读写的I2C协议的实现, -I2C protocol for write and read EEPROM of 2401
  3. 所属分类:source in ebook

    • 发布日期:2017-03-27
    • 文件大小:2276
    • 提供者:lifeng
  1. serial_eeprom

    0下载:
  2. example test i2c eeprom
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-06
    • 文件大小:1545
    • 提供者:son
  1. i2cBUS

    0下载:
  2. I2C总线是一种非常常用的串行总线,它操作简便,占用接口少。本程序(verilog hdl)介绍操作一个I2C总线接口的EEPROM AT24C02 的方法,使用户了解I2C总线协议和读写方法。-The I2C bus is a very common serial bus, it is simple, occupy less interface. This program (verilog HDL) introduced operating a AT24C02 EEPROM of I2C
  3. 所属分类:Com Port

    • 发布日期:2014-11-19
    • 文件大小:549971
    • 提供者:lipuran
  1. IIC

    0下载:
  2. 基于ARM9的I2C的程序,可以用在很多温度传感器和EEPROM上-ARM9-based I2C procedures can be used in a lot of temperature sensors and EEPROM on the
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-02
    • 文件大小:48096
    • 提供者:黄文
  1. i2c

    0下载:
  2. 按动开发板键盘某个键CPLD将拨码开关的数据写入EEPROM的某个地址,按动另外一个键,将刚写入的数据 -- 读回CPLD,并在数码管上显-Pressing a button keyboard CPLD development board DIP switches, the data will be written to EEPROM in an address, pressing another key, the newly written data- read back CPLD, an
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3177
    • 提供者:rongchao
  1. 24CXX

    0下载:
  2. I2C EEPROM 24C读写器源文件,一个读写程序-I2C EEPROM 24C reader the source file, a reading and writing program
  3. 所属分类:SCM

    • 发布日期:2017-04-11
    • 文件大小:1015
    • 提供者:薛林
  1. TQ6050_i2c_avr_c

    0下载:
  2. avr的时钟芯片c语言程序,i2c硬件接口。子程序可能通用E方-avr of the clock chip c language program, iic interface. can use in EEPROM
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-02
    • 文件大小:1986
    • 提供者:王猛
  1. CE119_I2C_EEPROM_092407

    0下载:
  2. MICROCHIP DSPIC33 读写EEPROM源代码,直接可用-MICROCHIP DSPIC33 to read and write EEPROM source code can be used directly
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-31
    • 文件大小:17619
    • 提供者:陈方
  1. Serial_eeprom_programmer

    0下载:
  2. Serial eeprom programmer vb6 I2C 24C-Serial eeprom programmer vb6 I2C 24Cxx
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-30
    • 文件大小:74882
    • 提供者:mn
  1. reed_eeprom

    0下载:
  2. This code read EEPROM I2C (for NIOS2).
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:7125
    • 提供者:sergey
  1. i2c_test

    0下载:
  2. 单片机IO口模拟I2C总线访问EEPROM,内有protues仿真文件。-Microcontroller IO port analog I2C bus access to EEPROM, there protues simulation files.
  3. 所属分类:software engineering

    • 发布日期:2017-03-28
    • 文件大小:55037
    • 提供者:rxgzclb
  1. I2C_program

    0下载:
  2. 51单片机模拟I2C总线。读写采用I2C总线的24c02c。protues仿真。-51 MCU I2C bus simulation. Reading and writing using I2C bus 24c02c. protues simulation.
  3. 所属分类:software engineering

    • 发布日期:2017-03-28
    • 文件大小:55448
    • 提供者:黄军
  1. i2c_eeprom_24LC256

    0下载:
  2. keil c8051 i2c driver for EEprom source code
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-05
    • 文件大小:4705
    • 提供者:alex
  1. ISL12027

    0下载:
  2. ISL12027 是一个 RTC+EEPROM+WATCHDOG+RESET芯片,通过I2C接口访问,这是它的驱动,处理器为TMS320F28335.-ISL12027 is an RTC+ EEPROM+ WATCHDOG+ RESET chips, through the I2C interface to access, this is its drive, processor TMS320F28335.
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2013-09-09
    • 文件大小:5645
    • 提供者:ygl
  1. I2C

    0下载:
  2. I2C总线,24C02 EEPROM 应用 定时器计时数码管显示0-60,用EEPROM实现每次关机后开机数码管计时的显示数从关机前的那个数开始显示。-I2C bus, 24C02 EEPROM application timer timer digital display 0-60, with EEPROM boot after shutdown to achieve each time the digital tube display several numbers from the shu
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:16315
    • 提供者:周敦
  1. cn022921

    0下载:
  2. I2C™ 串行EEPROM 与PIC10 及PIC12 器件的接口设计的电路资料,以及汇编代码。适用于PIC10F202和PIC12F675-I2C ASM for PIC10F202/PIC12F675
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:432700
    • 提供者:ee
  1. I2C_EEPROM

    0下载:
  2. 浮点DSP28335连接EEPROM,使用I2C总线,可以在总线上挂AT24C04-Floating-point DSP28335 connection EEPROM, using the I2C bus, the bus can hang AT24C04
  3. 所属分类:DSP program

    • 发布日期:2017-03-29
    • 文件大小:441880
    • 提供者:upchjk
  1. AVR64-EEPROM

    0下载:
  2. AVR64的I2C总线,也即TWI数据仿真写入与读取,提供了单字节读写和页读写两种方式。本程序略经改动可用于其它CPU。已经调试无误,运行环境为ICCAVR,仿真程序使用了AVRSTUDIO。-AVR64' s I2C bus that is written to and read data simulation TWI provides a single-byte pages read and write in two ways. Slightly modified this proce
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:51494
    • 提供者:zephyr74
  1. EEPROM

    0下载:
  2. 熟悉并掌握(存储芯片AT2402)的操作,有保存和读取操作 了解掌握I2C总线接口的工作原理及一般编程方法。-Familiar with and master (memory chips AT2402) operations, read operations are stored and understand the working principle of I2C bus interface and general programming.
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:35683
    • 提供者:TKW
  1. verilogiic1121

    0下载:
  2. i2c的verilog程序,通过写入eeprom再读出并在seg数码显示管上显示来进行验证-i2c' s verilog program eeprom read by writing out and in the seg digital display tube display to verify
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:473740
    • 提供者:zhangjian
« 1 2 3 4 5 6 78 9 10 11 12 ... 30 »
搜珍网 www.dssz.com